IMPACT

Publications

1 S. Jain, L. Lin, M. Alioto, Adaptive Digital Circuits for Power-Performance Range beyond Wide Voltage Scaling, Springer, 2020
2A. Alvarez, G. Ponnusamy, M. Alioto, “Energy-Quality Scalable Memory-Frugal Feature Extraction for Always-On Deep Sub-mW Distributed Vision,” IEEE Access, vol. 8, pp. 18951-18961, Jan. 2020
3S. Jain, L. Longyang, M. Alioto, “Automated Design of Reconfigurable Micro-Architectures for Accelerators under Wide Voltage Scaling,” IEEE Trans. on VLSI Systems, vol. 28, no. 3, pp. 777-790, March 2020
4J. H. Teo, S. Cheng, M. Alioto, “Low-Energy Voice Activity Detection via Energy-Quality Scaling from Data Conversion to Machine Learning,” IEEE Trans. on CAS – part I, vol. 67, no. 4, pp. 1378-1377, April 2020
5O. Aiello, P. Crovetti, M. Alioto, “Fully Synthesizable Low-Area Analogue-to-Digital Converters with Minimal Design Effort Based on the Dyadic Digital Pulse Modulation,” IEEE Access, vol. 8, pp. 70890-70899, April 2020
6S. Jain, L. Lin, M. Alioto, “Broad-Purpose In-Memory Computing for Signal Monitoring and Machine Learning Workloads,” IEEE Solid-State Circuits Letters (invited), vol. 3, pp. 394-397, Sept. 2020
7P. Toledo, P. Crovetti, O. Aiello, M. Alioto, “Fully Digital Rail-to-Rail OTA with Sub-1,000 µm2 Area, 250-mV Minimum Supply and nW Power at 150-pF Load in 180nm,” IEEE Solid-State Circuits Letters, vol. 3, pp. 474-477, Sept. 2020
8S. Jain, L. Lin, M. Alioto, “Processor Energy-Performance Range Extension Beyond Voltage Scaling via Drop-In Methodologies,” IEEE Journal of Solid-State Circuits (invited), vol. 55, no. 10, pp. 2670-2679, Oct. 2020
9L. Lin, S. Jain, M. Alioto, “Sub-nW Microcontroller with Dual-Mode Logic and Self-startup for Battery-Indifferent Sensor Nodes,” accepted to IEEE JSSC
10L. Fassio, F. Settino, L. Longyang, R. De Rose, M. Lanuzza, F. Crupi, M. Alioto, “A Robust Sub-Threshold, Low Power-Delay, Energy and Area Efficient Level Shifter,” accepted to IEEE TCAS-II
11O. Aiello, P. Crovetti, P. Toledo, M. Alioto, “Rail-to-Rail Dynamic Voltage Comparator Scalable down to pW-Range Power and 0.15-V Supply,” accepted to IEEE Trans. on Circuits and Systems – part II
12U. De Alwis, M. Alioto, “TempDiff: Feature Map-Level CNN Sparsity Enhancement at Near-Zero Memory Overhead via Temporal Difference,” IEEE JETCAS, vol. 11, no. 4, pp. 620-633, Dec. 2021
13V. Konandur Rajanna, M. Alioto, “On-Chip Links with Energy-Quality Tradeoff in Error-Resilient and Machine Learning Applications,” accepted to IEEE Journal of Solid-State Circuits
14S. Jain, L. Lin, M. Alioto, “±CIM SRAM for Signed In-Memory Broad-Purpose Computing from DSP to Neural Processing,” accepted to IEEE Journal of Solid-State Circuits (invited)
15A Multi-Mode Multi-Coil Coupled Tuned Inductive Peaking ILFD for Low Injected Power With Compact Size
16Design of Reconfigurable dB-Linear Variable-Gain Amplifier and Switchable-Order Gm-C Filter in 65-nm CMOS Technology
17Design of a wideband variable-gain amplifier with self-compensated transistor for accurate dB-linear characteristic in 65 nm CMOS technology
18Design of Differential Variable-Gain Transimpedance Amplifier in 0.18-µm SiGe BiCMOS
19Ka-Band Marchand Balun with Edge and Broadside Coupled Configuration
20Design of a Ka-Band U-Shaped Bandpass Filter with 20-GHz Bandwidth in 0.13-μm BiCMOS Technology
21A 60 GHz 8-Way Combined Power Amplifier in 0.18 μm SiGe BiCMOS
22A Two-Stage X-Band 20.7-dBm Power Amplifier in 40-nm CMOS Technology
23A Monolithically Integrated Single-Input Load-Modulated Balanced Amplifier with Enhanced Efficiency at Power Back-Off
24Neural Epitome Search for Architecture-Agnostic Network Compression
25T.-N. Pham, Q.-K. Trinh, I.-J. Chang, M. Alioto, “STT-BNN: A Novel STT-MRAM In-Memory Computing Macro for Binary Neural Networks,” IEEE JETCAS, vol. 12, no. 2, pp. 569-579, June 2022T.-N. Pham, Q.-K. Trinh, I.-J. Chang, M. Alioto, “STT-BNN: A Novel STT-MRAM In-Memory Computing Macro for Binary Neural Networks,” IEEE JETCAS, vol. 12, no. 2, pp. 569-579, June 2022
26Distributed Anomaly Detection in Smart Grids: A Federated Learning-Based Approach
27K. Ali, J. H. Teo, S. Sarkar, M. Alioto, “Dual-Mode Conversion Gating, Comparator Merging and Reference-Less Calibration for 2.7X Energy Reduction in SAR ADCs under Low-Activity Inputs,” in print on IEEE Solid-State Circuits Letters
28A Linear-in-Decibel Automatic Gain Control Amplifier With Dual Mode Continuous Gain Tuning
29A Fully Integrated Pulling Mitigation Synthesizer for NB-IoT Transmitter

Presentations

1S. Jain, L. Longyang, M. Alioto, “Low-Overhead Drop-In Techniques to Extend the Energy-Performance Tradeoff in Microcontrollers Beyond VDD Scaling,” in Proc. of ASSCC 2019, pp. 125-129, Macau (China), Nov. 2019
2An inductorless 6-GHz variable gain differential transimpedance amplifier in 0.18-μm SiGe BiCMOS
3Design of a Voltage-Controlled Programmable-Gain Amplifier in 65-nm CMOS Technology
4An Inductorless 5-GHz Differential Dual Regulated Cross-Cascode Transimpedance Amplifier using 40 nm CMOS
5O. Aiello, P. Crovetti, A. Sharma, M. Alioto, “Fully-Synthesizable Current-Input ADCs for Ultra-Low Area and Minimal Design Effort,” accepted to ICECS 2019
6Rethinking Bottleneck Structure for Efficient Mobile Network Design
7Ultra-Low Power Receiver Architecture with Enhanced Input Signal Swing for Improved Sensitivity
8An Ultra-Low Power 900 MHz Intermediate Frequency Low Noise Amplifier for Low-Power RF Receivers
9CMOS Transformer Design for X-band Power Amplifier Applications
10S. Jain, L. Lin, M. Alioto, “Broad-Purpose In-Memory Computing for Signal Monitoring and Machine Learning Workloads Based on Commercial Bitcell,” accepted to IEEE ASSCC 2020 (invited and accepted to IEEE SSC-L)
11J. H. Teo, K. Ali, M. Alioto, “Voice Activity Detection with >83% Accuracy under SNR down to -3dB at 1.19µW and 0.07mm2 in 40nm,” accepted to IEEE ASSCC 2020
12U. De Alwis, M. Alioto, “TempDiff: Temporal Difference-Based Feature Map-Level Sparsity Induction in CNNs with <4% Memory Overhead,” accepted to IEEE AICAS 2021
13P. Leite Correia, P. Crovetti, H. Klimach, S. Bampi, O. Aiello, M. Alioto, “A 300mV-Supply, sub-nW-Power Digital-Based Operational Transconductance Amplifier,” accepted to IEEE AICAS 2021
14V. Konandur Rajanna, S. Taneja, M. Alioto, “A 109TOPS/mm2 and 749-1,459TOPS/W SRAM Buffer with In-Memory Inference and Prediction-Less Bitline Activity Reduction in 28nm,” accepted to IEEE ESSCIRC 2021
15S. Wu, K. De Silva, S. Gutgutia, B. Baas, M. Alioto, “A 1448-Mpixel/s, 84-pJ/pixel Display Stream Compression Encoder in 28 nm for 4K Video Resolution,” accepted to IEEE ASSCC 2021
16Ku-Band Bidirectional Mixer with Directional Control
17A 60 GHz Edge-Coupled 4-Way Balun Power Amplifier with 22.7dBm Output Power and 27.7% Peak Efficiency
18A 24.6-32.5 GHz Millimeter-wave Frequency Synthesizer for 5G Wireless and 60 GHz Applications
19A New Degeneration Technique for Low-voltage, Low-power, Triple-cascode Low Noise Amplifier in Millimeter Wave Applications
20A. Gupta, V. Konandur, T. Salam, S. Jain, O. Aiello, P. Crovetti, M. Alioto, “DDPMnet: All-Digital Pulse Density-Based DNN Architecture with 228 Gate Equivalents/MAC Unit, 28-TOPS/W and 1.5-TOPS/mm2 in 40nm,” accepted to IEEE CICC 2022
21U. De Alwis, M. Alioto, “Temporal Redundancy-Based Computation Reduction for 3D Convolutional Neural Networks,” accepted to IEEE AICAS 2022
22A Hybrid Pulling Mitigation Synthesizer for NB-IoT Transmitter
23Ultra-Low Power 2.4 GHz Receiver Design Techniques for IoT Applications
24K. A. Ahmed, H. Okuhara, M. Alioto, “55-pW/pixel Peak Power Imager with Near-Sensor Novelty/Edge Detection and DC-DC Converter-Less MPPT for Purely-Harvested Sensor Nodes,” in IEEE ISSCC Dig. Tech. Papers, Feb. 2022, pp. 102-103
25U. De Alwis, X. Zhongheng, M. Alioto, “Temporal Similarity-Based Computation Reduction for Video Transformers in Edge Camera Nodes,” accepted to IEEE AICAS 2023
26A. Gupta, S. Kumar, V. Konandur, S. Taneja, M. Alioto, “Visual Content-Agnostic Novelty Detection Engine with 2.4 pJ/pixel Energy and Two-Order of Magnitude DNN Activity Reduction in 40 nm,” in Proc. of VLSI Symposium 2023, Kyoto (Japan), June 2023
27Kehong Gong, Dongze Lian, Heng Chang, Chuan Guo, Zihang Jiang, Xinxin Zuo, Michael Bi Mi, Xinchao Wang, “TM2D: Bimodality Driven 3D Dance Generation via Music-Text Integration”

Demos and public materials

Talks

1Neural Epitome Search for Architecture-Agnostic Network Compression, Presentation at ICLR conference on 25/04/2020
2Survival of the fittest: circuits and architectures for computation with ultra-wide power-performance adaptation beyond voltage scaling – keynote speech at the IEEE S3S 2019 conference, Oct 16, 2019, San Jose (USA)
3Survival of the fittest: circuits and architectures with wide power-performance adaptation – Beyond voltage scaling and down to pWs – keynote speech at the IEEE MCSoC 2019 conference, Oct 1, 2019, Singapore
4 Survival of the fittest: circuits and architectures with wide power-performance adaptation beyond voltage scaling – keynote speech at the IEEE SOCC 2019 conference, Sept 3, 2019, Singapore
5Energy-Quality Scalable Integrated Systems – Preserving Energy Downscaling in the Decade Ahead – keynote speech at the IEEE SigTelCom 2019 conference, March 20, 2019, Hanoi (Vietnam)
6Widely-Adaptive Intelligent & Connected Systems for Nearly-Unstoppable Operation under Highly Uncertain Power Availability
7From Less Batteries to Battery-Less SoCs with Nearly-Unstoppable Operation – Towards a Greener and Smarter World – keynote speech at the IEEE ISOCC 2020 conference, Oct. 22, 2020, Yeosu (Korea)
8Always-On Sensor Nodes Entirely Powered by Sustainable Energy Sources – Enabling a Smarter and Greener World – keynote speech at the IEEE iSES 2020 conference, Dec 14, 2020, Chennai (India)
9Widely-Adaptive Intelligent&Connected Systems for Nearly-Unstoppable Operation under Highly Uncertain Power Availability – keynote speech at the IEEE ICCE 2021 conference, Jan 13, 2021, Phu Quoc Island (Vietnam)
10Always-On Sensor Nodes Entirely Powered by Sustainable Energy Sources – Enabling a Smarter and Greener World – keynote speech at the Renewable Energy and Power Engineering conference, Nov 9-11, 2021, Beijing
11Intelligent Systems with Ultra-Wide Power-Performance Adaptation – Going Well beyond the Diminishing Returns of Voltage Scaling, keynote speech at IEEE APCCAS and Prime Asia (Penang, Malaysia), Nov 22-26, 2021
122019 International Workshop on Advanced Chip Microsystems
1313th IEEE International Conference on ASIC (ASICON 2019)
14Circuits and Highly Energy-Efficient Processing for Sensor Data Sensemaking: from Near-Threshold Circuits to On-Chip Deep Learning
152020 International Workshop on Microwave and Microsystems
16Circuits and Architectures for Next-Generation Attentive & Intelligent Systems